Ruthenium Precursors for the Semiconductor Industry

Are you looking for a ruthenium precursor to create finer, thinner and more conductive interconnects? Heraeus offers 8 different volatile ruthenium precursors and intermediates for your application in atomic layer deposition and chemical vapor deposition processes. Ruthenium shows promising results in replacing copper as a new material for fine interconnects.

Table of Contents

Limits in Current Interconnect Technology

The advancements in digitalization, artificial intelligence, autonomous driving and high performing end-devices have given rise to smaller and yet more powerful logic chips. Therefore, the scaling of high-end technology nodes is moving forward - leading to smaller transistors and interconnects. While research continues to develop smaller transistors, difficulties occur when creating finer & thinner interconnects made from copper.

There are 3 main pitfalls with downsizing copper interconnects:

  • Copper wires need to be encased with barrier layers to avoid a corruption of the neighboring materials. Barrier layers are thus an obstacle in reducing copper wires’ sizes.
  • The thinner a copper wire becomes, the more likely electromigration occurs.
  • With the shrinking dimensions of the interconnects, the resistivity of copper increases.

Due to the above-mentioned disadvantages, new materials for interconnects needs to be considered. One of the most promising candidates replacing copper is ruthenium as the researchers Wan et. al. have shown in their IITC conference paper  Subtractive Etch of Ruthenium for Sub-5nm Interconnect.

Why Ruthenium Precursors?

Metallic ruthenium films - made from volatile precursors by evaporation - show superior material properties when being applied in wire diameters of few nanometers or less.

The advantages of using ruthenium include:

  • Resistance to thermal and electrical degradation - improving longevity of the transistors
  • High melting point - allowing for heat prone applications
  • Potential to create barrierless interconnects – allowing for smaller device architectures and reducing the number of process steps
  • Low resistivity – facilitating higher performing chips with faster switching time

Available Ruthenium Precursors for the Semiconductor Industry

You can choose out of 8 ruthenium compounds which can be applied via atomic layer deposition or chemical vapor deposition as new interconnect material. In addition, our ruthenium precursors can be used for hard masking.

Ruthenium Compound CAS Number Formula Availability
Bis(ethylcyclopentadienyl)ruthenium(II)
32992-96-4 Ru(EtCp)2  Send Inquiry
Bis(cyclopentadienyl)ruthenium(II)
1287-13-4 Ru(Cp)2  Send Inquiry
Dicarbonylcyclopentadienylruthenium dimer
12132-87-5 [Ru(Cp)CO2]2
 Send Inquiry
Bis(2,4-dimethylpentadienyl)ruthenium
85908-78-7 Ru(DMPD)2  Send Inquiry
(toluene)(1,5-cyclooctadiene)ruthenium
63395-20-0 Ru(COD)(Tol)  Send Inquiry
Triruthenium Dodecacarbonyl
15243-33-1 Ru3(CO)12  Request Quote
Dichloro(p-cymene)ruthenium(II) dimer 52462-29-0 [Ru(p-cymene)(Cl2)]2  Request Quote
(p-Cymene)(N,N′-diisopropyl-1,2-ethanediimine)ruthenium 1638669-96-1 Ru(p-Cymene)(iPrDAD)  Send Inquiry

Applying precious metal-based compounds in the metallization of the chip is new to the semiconductor industry. Heraeus can support you with its in-depth experience in precious metal chemistry and extensive experience in precious metal services.

Get in Contact

Heraeus is one of the leading companies in precious metal chemistry, trading & recycling.

We are looking for partners in the semiconductor industry, who are interested in improving their interconnects using Ruthenium. Heraeus is ready to contribute to your future, through joint development programs, financial models, precious metal supply and recycling of Ruthenium.

If you want to reach out to us, please use the contact details below.